what you don't know can hurt you
Home Files News &[SERVICES_TAB]About Contact Add New

fp.vhd

fp.vhd
Posted Dec 21, 1999

fp.vhd

tags | encryption
SHA-256 | ee52f1b12cb13542904c7c0b044d0da02b288ec0a9386b6eb40a7bbbe703a731

fp.vhd

Change Mirror Download
---------|---------|---------|---------|---------|---------|---------|---------|
-- Author : Tom Vu
-- Date : 09/27/97
-- Description : Left and Right 32-bit registers
-- -----------------------------------------------------------------------------
library ieee;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;


-- -----------------------------------------------------------------------------

-- -----------------------------------------------------------------------------
entity FP is

port(
FP_IN : in std_logic_vector(63 downto 0);
FP_OUT : out std_logic_vector(63 downto 0)
);

end FP;

-- -----------------------------------------------------------------------------
architecture beh of FP is
-- -----------------------------------------------------------------------------
subtype small_integer is INTEGER range 0 to 63;
type FP_TYPE is array(0 to 63) of small_integer;

signal FP_TABLE : FP_TYPE;

begin

FP_TABLE <= (57,49,41,33,25,17, 9, 1,
59,51,43,35,27,19,11, 3,
61,53,45,37,29,21,13, 5,
63,55,47,39,31,23,15, 7,
56,48,40,32,24,16, 8, 0,
58,50,42,34,26,18,10, 2,
60,52,44,36,28,20,12, 4,
62,54,46,38,30,22,14, 6);


-- -----------------------------------------------------------------------------
FP_PR: process(FP_TABLE,FP_IN)
-- -----------------------------------------------------------------------------
begin
for i in 0 to 63 loop
FP_OUT(FP_TABLE(i)) <= FP_IN(i);
end loop;
end process FP_PR;
-- -----------------------------------------------------------------------------
end beh;

Login or Register to add favorites

File Archive:

April 2024

  • Su
  • Mo
  • Tu
  • We
  • Th
  • Fr
  • Sa
  • 1
    Apr 1st
    10 Files
  • 2
    Apr 2nd
    26 Files
  • 3
    Apr 3rd
    40 Files
  • 4
    Apr 4th
    6 Files
  • 5
    Apr 5th
    26 Files
  • 6
    Apr 6th
    0 Files
  • 7
    Apr 7th
    0 Files
  • 8
    Apr 8th
    22 Files
  • 9
    Apr 9th
    14 Files
  • 10
    Apr 10th
    10 Files
  • 11
    Apr 11th
    13 Files
  • 12
    Apr 12th
    14 Files
  • 13
    Apr 13th
    0 Files
  • 14
    Apr 14th
    0 Files
  • 15
    Apr 15th
    30 Files
  • 16
    Apr 16th
    10 Files
  • 17
    Apr 17th
    22 Files
  • 18
    Apr 18th
    45 Files
  • 19
    Apr 19th
    0 Files
  • 20
    Apr 20th
    0 Files
  • 21
    Apr 21st
    0 Files
  • 22
    Apr 22nd
    0 Files
  • 23
    Apr 23rd
    0 Files
  • 24
    Apr 24th
    0 Files
  • 25
    Apr 25th
    0 Files
  • 26
    Apr 26th
    0 Files
  • 27
    Apr 27th
    0 Files
  • 28
    Apr 28th
    0 Files
  • 29
    Apr 29th
    0 Files
  • 30
    Apr 30th
    0 Files

Top Authors In Last 30 Days

File Tags

Systems

packet storm

© 2022 Packet Storm. All rights reserved.

Services
Security Services
Hosting By
Rokasec
close